HawkInsight

  • Contact Us
  • App
  • English

TSMC to Begin 2nm Chip Trial Production This Week Amid Intense Competition Among Top Three?

Other Apple devices that may carry the M5 chip (MacBook and iPad Pro) are also expected to use TSMC's advanced 2nm process technology.

On July 15th, media reported that following Samsung's announcement of a significant breakthrough in 2nm process technology and securing the first batch of orders, TSMC is also following suit and will begin trial production of 2nm process chips this week, with Apple expected to secure the first batch of production capacity.

Reports indicate that the chip trial production will take place this week at the newly built wafer fab in Baoshan, Hsinchu, which officially started operation in the second quarter. Once the trial production is successful, TSMC plans to expand production capacity to Hsinchu Science Park and Kaohsiung area, with an initial monthly capacity of 30,000 to 35,000 wafers, aiming for mass production as early as 2025.

Samsung's 2nm process has been sold to a Japanese AI company, Preferred Networks, while TSMC is expected to cooperate again with tech giant Apple. In September last year, Apple equipped the iPhone 15 Pro with TSMC's 3nm process A17 Pro chip, and now, Apple is expected to introduce the brand new 2nm process technology in the iPhone 17 to be released in two years. Analysts claim that the performance of 2nm mobile phone chips will be 10-15% higher than that of 3nm, with power consumption reduced by up to 30%.

In the recently released new iPad Pro, Apple showcased its M4 chip for the first time, which adopts an enhanced version of the 3nm process (N3E technology) and is seen as a milestone towards the transition to 2nm chips. In addition, Apple's M5 chip is planned to follow up with SoIC (System on Integrated Chip) packaging and mass production in 2025.

So far, Samsung, Intel, and TSMC are in fierce competition on the track from 3nm to 2nm.

The latest progress shows that Samsung will start mass production of 2-nanometer chips for mobile devices in 2025. The initial SF2 2nm process will be ready next year, with the enhanced version SF2P to be launched in 2026. The latest 2-nanometer process, SF2Z, which adopts an optimized back-end power transfer network (BSPDN) technology, will be put into mass production in 2027.

After "milking" the 14nm process for many years, Intel has also been continuously exerting effort in advanced process technology in the past two years, purchasing the most expensive high-numerical-aperture EUV lithography machine ($380 million per unit). In 2024, Intel is expected to launch its own 20A manufacturing technology (2 nanometers), adopting two process technologies, namely RibbonFET gate-all-around transistors and BSPDN.

TSMC stated: "Our N2 technology (i.e., 2nm process technology) is expected to achieve mass production in 2025. The early trial operation of 2-nanometer chips may allow the company to find a way to rapidly produce the next generation of chips." TSMC also said: "N2 technology adopts a leading nanosheet transistor structure, providing full-node performance and power consumption advantages to meet the growing demand for high-energy-efficient computing. When N2 technology was introduced in 2022, it became the most advanced technology in the semiconductor industry in terms of density and energy efficiency."

There is also news showing that the iPhone 17 is not the only Apple device expected to use a 2nm processor; other Apple devices that may carry the M5 chip (MacBook and iPad Pro) are also expected to use TSMC's advanced 2nm process technology.

台积电将于本周试产2nm制程 芯片“御三家”竞争白热化?

·Original

Disclaimer: The views in this article are from the original author and do not represent the views or position of Hawk Insight. The content of the article is for reference, communication and learning only, and does not constitute investment advice. If it involves copyright issues, please contact us for deletion.